// +build !ignore_autogenerated /* Copyright 2020 VMware, Inc. SPDX-License-Identifier: Apache-2.0 */ // Code generated by deepcopy-gen. DO NOT EDIT. package crdsplaceholder